GAAFET晶体管时代即将到来!但FinFET仍是主流

2021-07-07 15:00:16   作者:   来源:天极网

  三星在年初的IEEE国际固态电路大会(ISSCC)上,公布3nm制造技术的一些细节,包括类似全栅场效应晶体管(GAAFET)结构,率先开启先进工艺在技术架构上的转型。知名能源与电力媒体eenews报道称,三星工厂已经流片采用环绕栅极 (GAA) 晶体管架构的3nm芯片,通过纳米片(Nanosheet)制造出MBCFET(多桥通道场效应管),可显著增强晶体管性能,主要取代FinFET晶体管技术。 

  

\

 

  为了能够从台积电手中抢到客户,三星半导体最近几年一直在积极宣传GAA(gate all around)。伴随着成功流片,三星3nm芯片即将进入模量产。但随后有消息称,可能在2022年推出的3nm(GAA架构),要推迟到2024年。

  根据三星官方的数据,7nm FinFET制造工艺相比,3nm GAA技术的逻辑面积效率提高35%以上,功耗降低50%,逻辑面积减少45%。三星执行副总裁兼代工销售和营销主管Charlie Bae表示:“基于GAA结构的下一代工艺节点(3nm)将使三星能够率先打开一个新的智能互联世界,同时加强我们的技术领先地位”。

  什么是GGA晶体管? 

  

\

 

  GGA的全称是Gate all around Field Effect Transistors(简称GAAFET),中文全称全环栅晶体管,能够延续半导体技术经典“摩尔定律”的新兴技术路线,可进一步增强栅极控制能力,克服当前技术的物理缩放比例和性能限制。

  据了解,GAAFET有两种结构,一种是使用纳米线(Nanowire)作为电子晶体管鳍片的常见GAAFET;另一种则是以纳米片(Nanosheet)形式出现的较厚鳍片的多桥通道场效应管MBCFET,这两种方式都可以实现3nm工艺节点,只是取决于制造商具体的设计。从GAAFET到MBCFET,可以视为从二维到三维的跃进,能够改进电路控制,降低漏电率。 

  

\

 

  GAA晶体管能够提供比FinFET更好的静电特性,满足某些栅极宽度的需求。在同等尺寸结构下,GAA沟道控制能力增强,给尺寸进一步微缩提供可能;传统FinFET的沟道仅三面被栅极包围,GAA以纳米线沟道设计的整个外轮廓都被栅极完全包裹,意味着栅极对沟道的控制性能就更好。Leti公司高级集成工程师Sylvain Barraud指出:“与FinFET相比,除了具有更好的栅极控制能力以外,GAA堆叠的纳米线还具有更高的有效沟道宽度,能够提供更高的性能。”

  台积电与三星的分歧

  台积电和三星在5nm、7nm节点继续使用FinFET(鳍式场效应管)结构,但是3nm工艺的晶体管结构选择出现分歧。三星确认将率先在3nm的工艺节点上使用GAAFET,台积电则更保守的使用FinFET结构。只是台积电使用FinFET工艺知识权宜之计,工艺制程来到3nm之后,鳍片(Fin)宽度达到5nm(等于3nm节点)时,FinFET将接近实际极限,再向下就会遇到瓶颈。

  因此,有消息称台积电也将在2nm工艺节点将转向GAA架构,全新的MBCFET架构以GAA制程为基础,可以解决FinFET因为制程微缩而产生的电流控制漏电等物理极限问题。2nm或将是FinFET结构全面过渡到GAA结构的技术节点。在经历了Planar FET、FinFET后,晶体管结构将整体过渡到GAAFET结构上。 

  

\

 

  台积电选择在第一代3nm工艺继续使用FinFET技术,处于多方面的考虑。首先是相同的制程技术与制造流程下,无需不用变动太多的生产工具,就能实现从FinFET切换到GAA,具有不错的成本优势。特别是先进工艺晶圆的设计成本,会让客户更加谨慎的选择制造工艺。根据早前曝光的设计奋勇来看,5nm的晶圆开发费用高达4.76亿美元,3nm甚至2nm只会更高。

  在先进制程的开发里变更设计,无论是改变设计工具或者是验证和测试的流程,都会是庞大的时间和经济成本,帮助客户降低生产的成本。。台积电首席科学家黄汉森强调,选择FinFET工艺是从客户角度出发的,成熟的FinFET结构产品性能会更加稳定。

  三星方面,最近几年的晶圆制造出与追赶阶段,他们需要在3nm时代寻找技术架构差异化,拉近与台积电芯片代工方面的技术差距,用更激进的策略来获取客户的青睐。IBS首席执行官Jones表示:“与3nm FinFET相比,3nm环绕闸极具有更低的阈值电压,可能带来15%到20%的功耗降低,在某种程度上提供更多的性能。”

  未来晶体管结构

  市场对于高性能芯片的渴望在不断推动技术的进步,新的GAA技术让3nm节点工艺成为现实。但是在GAA之后,半导体又有可能往哪些方向发展?

  Forksheet FET 

  

\

 

  随着未来向更小制程的继续,将要求标准单元内nFET和pFET器件之间的间距更小。但FinFET和Nanosheet的工艺限制n-to-p器件之间的间距。除了Nanosheet,还有一些属于“全栅”类的其它技术选项。为了扩大这些器件的可微缩性,IMEC提出一种创新的架构,称为Forksheet FET。 

  

\

 

  Forksheet可以理解为是Nanosheet的自然延伸,具有超出2nm技术节点的额外缩放和性能。Forksheet的nFET和pFET集成在同一结构中,由介电墙将nFET和pFET隔开。优势在于它有更紧密的n到p的间距,并减少面积缩放。与Nanosheet FET相比,在相同制程下的Forksheet FET电路将更加紧凑。 

  

\

 

  在从平面晶体管到FinFET再到Nanosheet的进化过程中,可以将Forksheet视为下一个发展路径。CFET(Complementary FETs,互补场效应晶体管)是2nm甚至以后另一种类型的技术选项,由两个独立的Nanosheet FET(p型和n型)组成,把p型纳米线叠在n型纳米线上的结构。通过叠加的方式实现折叠的,借此消除n-to-p分离的瓶颈,能够将单元有源区域的面积减少2倍。

  IBS首席执行官Handel Jones称:“CFET前景广阔,但目前还为时过早。向1nm CFET系列逻辑器件的发展推动新BEOL和MOL解决方案的开发,但问题是即使增强了栅极结构,我们也需要增强MOL和BEOL,需要通过引入新的导体来补充这些集成方案,否则性能提升将受到限制。”对于未来技术架构的演进趋势,IMEC认为:3纳米之前采用Nanosheet、2纳米采用Forksheet、1纳米采用CFET。

  在进一步的研究中,需要解决将这些器件完全投入生产的工艺挑战。目前这些仍在研发中的技术前景尚好,但也都有更自的挑战待突破,包含散热的控制和制造成本等。但可以看到的是,2纳米及之后已有数项技术正在进行中,虽有困难但也是遥不可及。

  Bizen晶体管架构

  英国初创公司Search For The Next(SFN)和苏格兰芯片制造商Semefab合作开发Bizen晶体管架构,可能从另一方向打破CMOS的极限。提出Bizen晶体管架构最初的目的就是为了创建具有较少掩膜步骤的芯片,使得同一块芯片上同时具有逻辑和功率晶体管,在这一初衷下创建一个LED驱动器的集成电路。

  SFN首席执行官Summerland提出使用齐纳二极管反向偏置特性的想法,该特性是由二极管N区域和P区域之间掺杂水平的突然变化产生的,最终致使量子电流的产生,以此来驱动双极晶体管。SFN的Bizen晶体管设计将双极结与齐纳二极管的概念结合在一起,利用量子隧穿效应从传统的双极晶体管中消除了电阻以及所有金属层。晶体管使用量子隧道连接栅极并能够建立多个栅极连接,这意味着可以在一个晶体管内创建多个非门和或门,从而缩小了逻辑电路的裸片。

  写在最后:由于没有能够满足所有应用的技术,在芯片微缩和功能扩展的过程中,制程的进步、晶体管结构的变化和其他方法会交替进行,不断推动芯片性能提升。在先进半导体制造的成本不断攀升的当下,如何利用现有的技术,获得客户青睐至关重要;如果芯片制造商不能在生产技术与制造成本中取得较好的平衡,未来难以在竞争中保持优势地位。

相关热词搜索:晶体管时代 芯片

上一篇:人工智能和大数据是什么?它们之间存在着怎样的关系呢?
下一篇:最后一页

分享到: 收藏